case_action        51 src/casefiddle.c   enum case_action flag;
case_action        69 src/casefiddle.c 			enum case_action flag, bool inbuffer)
case_action       112 src/casefiddle.c   enum case_action flag;
case_action       345 src/casefiddle.c casify_object (enum case_action flag, Lisp_Object obj)
case_action       523 src/casefiddle.c casify_region (enum case_action flag, Lisp_Object b, Lisp_Object e)
case_action       575 src/casefiddle.c casify_pnc_region (enum case_action flag, Lisp_Object beg, Lisp_Object end,
case_action       646 src/casefiddle.c casify_word (enum case_action flag, Lisp_Object arg)
case_action      2393 src/search.c     enum { nochange, all_caps, cap_initial } case_action;
case_action      2415 src/search.c     case_action = nochange;	/* We tried an initialization */
case_action      2453 src/search.c         case_action = all_caps;
case_action      2505 src/search.c   	case_action = all_caps;
case_action      2508 src/search.c   	case_action = cap_initial;
case_action      2512 src/search.c   	case_action = all_caps;
case_action      2514 src/search.c   	case_action = nochange;
case_action      2614 src/search.c         if (case_action == all_caps)
case_action      2616 src/search.c         else if (case_action == cap_initial)
case_action      2756 src/search.c     if (case_action == all_caps)
case_action      2760 src/search.c     else if (case_action == cap_initial)